Jump to content

thinkthinkthink

Digilent Staff
  • Posts

    130
  • Joined

  • Last visited

Everything posted by thinkthinkthink

  1. You're supposed to choose RTL project with the "Do not specify sources at this time" checked. That's the default option and the best for beginners.
  2. Hey hey hey, we actually do upgrades of old demo projects. We'd done that last year and we've done it again this year. Check the <board_version>/<DEMO>/update branches of some of our github repos. As for the Vitis development for the Zedboard, the only issues I had last year with upgrading the Zedboard FMC-Pcam-Adapter demo from Xilinx SDK 2019.1 to Vitis 2020.1 were some makefile errors that I solved easily with a search through xilinx's forums where I found the solution which required modifying some makefiles. Now upgrading this Zed project from 2020.1 to 2021.1 should be pretty straightforward as it's all just Vitis.
  3. There is a much better guide out there: this one made by Lauri Võsandi. I've got it working on the old Digilent ZYBO board (the non Z7 version that had a VGA connector). Maybe you can get it working somehow. Good luck, you'll need it.
  4. Can't help you with platformio but here's a guide on how to get our GPIO demo up and running on the Nexys A7: GPIO Demo Guide. Also make sure to check out your board's Resource Center and its Reference Manual. To install board files this guide, while a bit old, should work on all recent versions of Vivado. It's basically a tcl script that Vivado automatically runs at startup.
  5. The product store page has a Features tab that is always worth checking out, the first line should always tell exactly which FPGA part is loaded on the board. Something along the lines of "Features the Xilinx Artix-7 FPGA: XC7A35T-1CPG236C" where XC7A35T is the part number, -1 is the speed grade and CPG236 is the package, the last C at the end probably tells you that it's a commercial grade fpga. The Basys 3 Reference Manual should provide even more info than the store page. Seeing that you claim to be new to all this make sure to at least skim through it and consult parts of it later when needed. The schematic files can also be really useful in understanding what board you're working with.
  6. Just change the boot jumper to JTAG and program the board with Vivado/Vitis. But I think you can just program the board even with linux running, it will download a new bitstream and overwrite anything else on the fpga.
  7. Go to Debug Configurations... Make sure that your application is selected there on the left, if there's no application you can make one using the button the leftmost arrow points to. Then go to Target Setup and press the Search... button next to the Bitstream File field. You should get a prompt from which you can select the only available bit files. Hope this helps.
  8. XDC constraints are case sensitive, so be careful with that.
  9. That's because the recommended sys_clk_i frequency should be 166.667 MHz according to the Arty A7 Reference Manual and not 100 MHz.
  10. What version of vivado are you using cuz I've managed to generate a bitstream for the Arty A7-35T with no issues on Vivado 2020.1. Unfortunately, I don't have this board on me to test my configuration but the fact that the bitstream was generated without errors means it has a high chance of working. Also, make sure the Clock Period is set to 3000 ps and that Input Clock Period is set to 6000 ps inside the MIG settings.
  11. I think you weren't paying attention because the guy in the tutorial also gets that warning message but he just presses the Proceed button and ignores it. It probably has something to do with the debug configuration when launched for the first time.
  12. Sorry but I couldn't replicate you problems, it worked first try for me following the exact same steps as you did. But I can recommend some things you can try to hopefully get the project working properly. First you can try resetting the output products by right-clicking the block design file and then clicking "Reset Output Products...". Then go to Tools -> Settings... -> IP, press the Clear Cache button and then click OK. Now go to the Design Runs tab, right-click on impl_1 and select Reset Runs. Do the same thing for synth_1 as well. After that click on Generate Bitstream and wait a bit for a new bitstream to be completed. If somehow you get some critical warnings telling you that the MIPI_D_PHY_RX_0 IP was packaged with a different board_part you can just ignore those by pressing OK and then clicking on Generate Bitstream again. Now you should export the new hardware handoff and launch the SDK again (if the SDK is already open you don't have to launch it again). Right-click on system_wrapper_hw_platform_0 and select Change Hardware Platform Specification to update it to the new hardware handoff. After that you should clean the entire workspace and then build it all again. Finally, you can program the FPGA and run the application again. You will hopefully see something like this in your tera term console: Please do let us know if you've encountered other problems or if, after following all these steps, you still couldn't manage to get the application working properly.
×
×
  • Create New...