Jump to content
  • 0

Hi everyone, I am currently learning about communication between Microblaze PS and FPGA PL, with using FIFO as data buffer.


RedRobin

Question

I am new to FPGA and Microblaze, and have implemented a simple hello world program till now using Vivado and Vitis.

Let's say I want to send an input(1,2,3,4,5,6,7,8) using an up counter. Kindly guide me on how I can begin to implement this on Vivado and Vitis using a FIFO.
Should I use GPIO Module? For FIFO should I use AXI Data FIFO, or AXI Stream FIFO?

Thanks in advance.

Link to comment
Share on other sites

0 answers to this question

Recommended Posts

There have been no answers to this question yet

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...