Jump to content
  • 0

Arty hello world


ashman

Question

Hello to all,

I'm a college student with a bit of arduino experience. 

I got ARTY because I have a course that requires learning the VHDL rudiments. (the choice of board was free, I hope I made a good choice!)

My goal would be to start with a very simple project, maybe turn on some LEDs by pushing the buttons and getting familiar with the development environment.

I am currently using Vivado 2017 and I have managed to have arty in the project board list. After that I do not know what to do, and I did not find a giuide for dummies that explained how to get to my goal. I have to use VHDL and for now my goal is to have a code as clean as possible and essential (also at the expense of not using all the potential of the board)

 

I hope it is a not too complex and accessible request

happy to start

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Hi @ashman,

 Unfortunately, we do not have a specific tutorial using VHDL for buttons and leds. Here is a xilinx forum that discuss VHDL button/led code. You should be able to use the provided VHDL from the xilinx thread. You will also need to add an xdc file that correctly constrains the buttons and leds for the ARTY.  On our learn site we do have some basic tutorials in VHDL here and hereHere is the Arty recource center that has some tutorials and project available as well as the master xdc for the Arty. FPGA4Fun is another resource for basic information about FPGA's. 

cheers,

Jon

Link to comment
Share on other sites

Thank you for the reply, I managed to handle the first steps, but now I can not understand for the I/O Ports on Arty. 

I found out that the first two buttons are D9 C9, and the first led is H5, but now I should consider the so-called "I/O Std", I see that as a default i have LVCMOS18, but I sincerely believe that all three ports should be set to LVCMOS33. 

I would avoid making a mistake so as not to damage my board.

 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...