Jump to content
  • 0

Zybo Z7 SD Card Pins


tato0316

Question

Hey there,

I am currently working on a project that runs a simple CPU on the Zybo Z7 FPGA. I used generated code from a previous project as a starting point, so I do not have any Block Design sources at all, only Verilog source code. I want to be able to read a .txt file with machine code from an SD Card in order to control the buttons and LEDs on the board. I am currently trying to locate information on how to configure the SD card pins in the design constraints file (Zybo-Z7-Master.xdc). I am hoping to find information for what to set the value of PACKAGE_PIN for MIO pins 40-45 and 47. I am struggling to find an example of configuring any MIO pins in the .xdc file, and am hoping to avoid using any Block Design sources as this would be very difficult to integrate into my project in its current state.

TLDR: 

Looking for what to put for <pin number> for MIO pins 40-45 and 47 on Zybo Z7 board in master.xdc

set_property -dict { PACKAGE_PIN <pin_number> IOSTANDARD LVCMOS33 } [get_ports <port_name>];

 

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

  • 0

Hi @tato0316

Welcome to the forum.

MIO pins are not accessible through PL I/Os and don't get constrained - each MIO maps to a specific physical I/O on the chip. The Zybo's SD interface also doesn't have alternate paths on the PCB to FPGA I/O pins. You would need to access the SD card by using the PS, or maybe by controlling PS peripherals from fabric through the PS's AXI slave ports (assuming they're even addressable from there...).

Depending on the end goal, maybe you could load the text file data into a BRAM instead, or even a couple of versions of the data, and bake it into the bitstream?

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...