Jump to content
  • 0

Issue connect Pmod AD1 and Zedboard


CEEJ38

Question

I am new to Pmods and am having an issue connecting the Pmod AD1 IP with a port on the Zedboard. I have seen from tutorials to connect the Pmod to a port in the board tab to the left of the block design. However, I do not see the Pmod folder in the board tab. I have made sure to connect the full vivado-library-master to the IP repository. I will include pictures below for reference. Please let me know if you have any suggestions. 

image.thumb.png.ec6a76b66247f0ac8f20d00ef7d300f7.png

image.png.b9a0a6151ba82683be1a999afd82a339.png

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 1

Hi @CEEJ38, welcome to the forums.

There are two versions of the Zedboard board files, one from Avnet and one from Digilent. Please make sure you are using the Digilent version as the Avnet version does not include Pmod interfaces - installation instructions can be found here: https://digilent.com/reference/programmable-logic/guides/installing-vivado-and-vitis#install_digilent_s_board_files.

Alternatively, you can manually constrain your Pmod ports instead of relying on the board files. The "Add GPIO Peripherals to a Block Design" section of this guide discusses how to do this, in the context of some button inputs: https://digilent.com/reference/programmable-logic/guides/getting-started-with-ipi#add_gpio_peripherals_to_a_block_design. I would recommend this approach over the board files for this kind of I/O interface, as the board files and IP presets can obscure some important details of what is going on that are better to learn sooner rather than later (I/O constraints, configuring IP as necessary for the peripherals you're talking to, etc).

Thanks,

Arthur

Link to comment
Share on other sites

  • 1

Yes, use LVCMOS33. Per the manual, https://files.digilent.com/resources/programmable-logic/zedboard/ZedBoard_HW_UG_v2_2.pdf, the PL Pmod pins are connected to bank 13, which is run at 3.3V. The master XDC sets the bank voltage for bank 13 to LVCMOS33 at the bottom of the file - https://github.com/Digilent/digilent-xdc/blob/fa60017608b914b6765c8620e85a3b97a36179bf/Zedboard-Master.xdc#L374C75-L375C75.

image.png

You can also see that bank 13 is powered at 3.3 V in the schematics - https://digilent.com/reference/_media/reference/programmable-logic/zedboard/zed_sch_rev_f1-public.pdf.

image.png

image.png

Link to comment
Share on other sites

  • 0
Posted (edited)

Hi @artvvb, thanks for your reply.

I was able to successfully constrain the Pmod. Do you know how I can find the right I/O standard. I was have been using LVCMOS18 but cannot find documentation for the Pmod AD1 that says which I/O standard to use. The Zedboard Master xdc says that 1.8 is the default but the Pmod reference manual says that the AD1 must have a external power between 2.35 to 5.25 V. Does this mean I need to be using LVCMOS33?

 

Edited by CEEJ38
Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...