Jump to content

FPGAs for programmers: Hastlayer (with Nexys 4 DDR!)


Zoltán Lehóczky

Recommended Posts

To allow software developers to be able to easily get the computational benefits of FPGAs we created Hastlayer: it turns software written for the .NET platform into an equivalent (VHDL) hardware description. It does this while also handling everything in the background to allow the usage of the resulting hardware in the same way as the original software was run - basically where there was a function call there's still a function call but now it really executes on an FPGA, as logic hardware. Here's a demo video of how it works: 

 

And why do I post it here? You can also see from the above thumbnail that you can use Hastlayer with Nexys 4 DDR boards! Connecting the board to a host PC via USB as well as Ethernet is supported. You can even use multiple boards simultaneously if you use the latter.

Do you own a Nexys 4 DDR? You can get access to Hastlayer, just ask! (And you can get access to it otherwise too!)

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...