Jump to content
  • 0

Programming Arty with Xilinx Platform Cable USB II


zwabbit

Question

I have an Arty that I've successfully programmed using the FTDI USB to JTAG interface. When I try to program it using the Xilinx platform programmer hooked up to the J8 pins (there's an extra wire that's left hanging) the programmer lights up green as if it detects the board, but Vivado (2016.2) can't find the Artix chip inside its hardware manager. Is there some specific settings that need to be changed to get Vivado to see the device? The Arty reference page itself mentions that I should be able to use a Digilent JTAG-HS2 to program it, but can I even use the Platform programmer instead?

Link to comment
Share on other sites

5 answers to this question

Recommended Posts

What is the actual pinout of the J8 header? The schematic shows the pins as 1 through 6 with TMS as 1 and VCC as 6, but what's the actual direction of the pins on the Arty itself? And is the schematic ordering actually also what the pins are ordered on the board?

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...