Jump to content
  • 0

Basys 3 broken?


css

Question

My seven segment display always prints 01n and my LED0 is always high as soon I turn on my Basys3 board. I've already tried the Basys3 GPIO-Demo and the Keyboard demo. Although the GPIO-Demo works as soon as I turn on my Basys3 board the seven seg display prints the same thing and LED0 is high. What should I do?

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

  • 0

Hi @css

Could you provide some photos of your board while the 01n issue is happening? If it's damaged, the described behavior sounds odd. I'd expect that the seven-segment display would show the same constant value on one or more of the four digits, with the others being all off or all on, due to common anode signals remaining constant. If it's different on each digit, that means that the anode signals are changing.

It would also be helpful to know if you've programmed your board's flash memory, since an out-of-box image similar to the GPIO demo comes preinstalled and boots from flash when the board is powered on.

What position do you have the programming mode select jumper set to?

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...