Jump to content

css

Members
  • Posts

    1
  • Joined

  • Last visited

Everything posted by css

  1. css

    Basys 3 broken?

    My seven segment display always prints 01n and my LED0 is always high as soon I turn on my Basys3 board. I've already tried the Basys3 GPIO-Demo and the Keyboard demo. Although the GPIO-Demo works as soon as I turn on my Basys3 board the seven seg display prints the same thing and LED0 is high. What should I do?
×
×
  • Create New...