Jump to content
  • 0

Can't see the Basys3 board anymore


Programmer

Question

Hello together,

I have installed the Viviado 2023.1 and connected the Basys3 board. When opening a new project, I could only see the Basys3 at the beginning under "Boards". I installed it, but after that I can't find or see the Basys3 anywhere. I don't know if it was installed at all. Then I created the directory "board_files" and loaded the data, as it says in the instructions https://digilent.com/reference/programmable-logic/guides/installing-vivado-and-vitis#install_digilent_s_board_files.
But even after this change, I cannot see the Basys3 board. I can see the Basys3 board on the PC.
What am I doing wrong?

Many thanks for the help

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 0

Hi @Programmer,

I'm not certain if this is what you are running into, but when creating a project in Vivado or Vitis, you don't "select" the specific board that you have attached to your computer.

Rather, if you have the board file installed, either via the Digilent instructions you linked or by downloading the board file from the Xilinx Board Store when selecting your architecture (you will likely have to refresh the Xilinx Board Store options to see under the digilentinc vendor), this lets you tell Vivado the architecture you are using so it can correctly process the HDL and perform bitstream generation. More details about this are in steps 5 & 6 in this guide here: https://digilent.com/reference/programmable-logic/guides/getting-started-with-ipi#create_a_vivado_project. If you manually installed a board file, you may need to restart Vivado before it can see Basys 3 being a selectable option (or at least Vivado historically has required this; I install the board files first out of habit now so I don't know offhand if this behavior has changed).

You won't specifically select or choose the Basys 3 on your desk until you open the Vivado Hardware Manager.
If you can see the Basys 3 on your host computer in the Device Manager (or alternately, confirm you see the Basys 3 in Digilent's Adept Software, https://digilent.com/reference/software/adept/start), then the hardware should be good to go.

Let me know if you have any questions.

Thanks,
JColvin

Link to comment
Share on other sites

  • 0

Hello @JColvin

Thank you very much for the reply.
I am aware that if I connect the Basys3 board to the PC, I will not be able to select it in Vivado.

When I open a new project, I expect to be able to select the board Basys3 from the list, because I installed the board_files according to the instructions.

As shown in the picture below.

image.png.54799a5dfb162191be24e17b27e6d3dd.png

 

In my selection list I do not have the Basys3 board it is not there even if I installed again the board_files.

This is my problem I can not select the board and the Artix 7 chip at the beginning because I do not see it.

Currently I am starting a new project with the wrong board and chip, and this can't be the solution.

Thank you and kind regards

 

 

 

 

Link to comment
Share on other sites

  • 0

Hi @Programmer,

When selecting your part, rather than going to the 'Boards' view, are you able to search up the FPGA that is present on the Basys 3 within the Parts view?

image.png

If you are not able to find the xc7a35tcpg236-1 here, this would indicate to me that you do not have the Artix 7 chips installed as part of the Vivado installation, and you would need to install that family (easiest way would be to manage the install through the Xilinx Information Center application, which should already be on your computer).

If you are able to see it, could you provide a screenshot of what you see when you Refresh the Board Store (or confirm your Basys 3 board file is in Xilinx\Vivado\2023.1\data\boards\board_files)?

Thanks,
JColvin

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...