Jump to content
  • 0

Command line builds


Eminem

Question

3 answers to this question

Recommended Posts

  • 0

This is what we ended up with and it seems to work in our Jenkins pipeline

# Run with the following command line for the 3eg
# vivado2022 -mode batch -source mta_build.tcl -tclargs mta_3eg
# or for the 5ev board use the following command
# vivado2022 -mode batch -source mta_build.tcl -tclargs mta_5ev
#
if { $argc != 1 } {
puts "This script requires one argument for the board you are building"
} else {
open_project [lindex $argv 0].xpr
make_wrapper -files [get_files [lindex $argv 0].bd] -top -import
launch_runs synth_1
wait_on_run synth_1
launch_runs impl_1 -to_step write_bitstream
wait_on_run impl_1
write_hw_platform -fixed -include_bit -force -file [lindex $argv 0].xsa
}

Now if we could only add some tests

Link to comment
Share on other sites

  • 0

Hi @Eminem

Welcome to the Forums!

Here are a couple of good blog posts on this exact topic:

Running build scripts written in TCL from the command line via Vivado's batch mode is the way to go. The first post above discusses this topic in some detail.

The checkout script in digilent-vivado-scripts also optionally builds the checked out project, but that requires following the same file structure as Digilent's Vivado projects on Github. We haven't used this with Jenkins or Docker before, so I couldn't comment on how easy that would be to use as a starting point.

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...