Jump to content

Eminem

Members
  • Posts

    4
  • Joined

  • Last visited

Eminem's Achievements

  1. I just came on a program that's using the Genesys 5ev and 3eg boards for development. Sadly the SD cards that came with a boot image have been inadvertently reformatted. Are there some instructions for restoring those images that you could share with us?
  2. Hi @elodg, I'm working with @John J on this project. We copied the psu_init.* files from platform/hw/ folder and using the the test code provided by @JColvin Then we cleaned and rebuilt all projects without updating the Hardware Specification which seemed to work. I'm not finding a sw/src/checkout.tcl file. Can you post a link to the build process that uses that file?
  3. This is what we ended up with and it seems to work in our Jenkins pipeline # Run with the following command line for the 3eg # vivado2022 -mode batch -source mta_build.tcl -tclargs mta_3eg # or for the 5ev board use the following command # vivado2022 -mode batch -source mta_build.tcl -tclargs mta_5ev # if { $argc != 1 } { puts "This script requires one argument for the board you are building" } else { open_project [lindex $argv 0].xpr make_wrapper -files [get_files [lindex $argv 0].bd] -top -import launch_runs synth_1 wait_on_run synth_1 launch_runs impl_1 -to_step write_bitstream wait_on_run impl_1 write_hw_platform -fixed -include_bit -force -file [lindex $argv 0].xsa } Now if we could only add some tests
  4. We are trying to use Jenkins to build our Vivado project and we can't figure out how to build from the command line. Is there a way to do this? If so what are the steps?
×
×
  • Create New...