Jump to content
  • 0

BASYS3 PMOD port use


Michael Alex

Question

Hello,

In Vivado 2021.1.1, I can add Basys3 board components to my block diagram from the Vivado GUI.  Things like the System Clock, QSPI Flash, push buttons, LEDs, and switches can be added to the block diagram and be "auto connected" by dragging and dropping them from the Basys Board GUI in Vivado.  However, when I try to add any of the 4 possible Pmod connections (JA, JB, JC, or JXADC) I get a message such as "Connector JA' board component cannot be connected because no possible options to connect."  Vivado has no idea how to connect any of the PMODs to the block diagram, but can handle all the other hardware and GPIOs fine. 

So after adding a PMOD connection manually by editing the XDC file and adding an AXI GPIO manually to a simple Microblaze block diagram, I still don't see input signal on the PMOD - I'm just trying to light up an LED on the board when the PMOD input pin is set to 3.3volts.  I then tried the same exact thing using a PYNQ-Z2 board, and it worked fine. 

I am using the most-recent board files from the Digilent repo - about two months old or so. 

 

I just want to use the PMOD connectors of the Basys3 board as general purpose I/Os.  This should be very straightforward, as it works on other boards.  Any advice on this would be very much appreciated. 

 

Thank you,

Michael

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 0

You'll need to add our https://github.com/Digilent/vivado-library as an IP Repository in Vivado for that to work. I don't think connecting the Pmod ports directly to a Gpio IP from the Board Flow GUI will work since Vivado considers them different Interfaces (Pmod vs Gpio), so yeah you'll have to manually map the Gpio pins to a Pmod connector in the XDC file if you only want basic input/output.

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...