Jump to content

Michael Alex

Members
  • Posts

    2
  • Joined

  • Last visited

Michael Alex's Achievements

Newbie

Newbie (1/4)

0

Reputation

  1. Hmmm, I started off by modifying the XDC file as you suggest, but gave up because manually mapping the pins did not work either. Thanks in any case, Michael
  2. Hello, In Vivado 2021.1.1, I can add Basys3 board components to my block diagram from the Vivado GUI. Things like the System Clock, QSPI Flash, push buttons, LEDs, and switches can be added to the block diagram and be "auto connected" by dragging and dropping them from the Basys Board GUI in Vivado. However, when I try to add any of the 4 possible Pmod connections (JA, JB, JC, or JXADC) I get a message such as "Connector JA' board component cannot be connected because no possible options to connect." Vivado has no idea how to connect any of the PMODs to the block diagram, but can handle all the other hardware and GPIOs fine. So after adding a PMOD connection manually by editing the XDC file and adding an AXI GPIO manually to a simple Microblaze block diagram, I still don't see input signal on the PMOD - I'm just trying to light up an LED on the board when the PMOD input pin is set to 3.3volts. I then tried the same exact thing using a PYNQ-Z2 board, and it worked fine. I am using the most-recent board files from the Digilent repo - about two months old or so. I just want to use the PMOD connectors of the Basys3 board as general purpose I/Os. This should be very straightforward, as it works on other boards. Any advice on this would be very much appreciated. Thank you, Michael
×
×
  • Create New...