Jump to content

T106A81

Members
  • Posts

    11
  • Joined

  • Last visited

Recent Profile Visitors

The recent visitors block is disabled and is not being shown to other users.

T106A81's Achievements

Member

Member (2/4)

1

Reputation

  1. Thank you!!! can you please post me any link or document to interface high speed ADC with zynq soc
  2. I have the 16 bit ADC that work with 125MSPS i want to interface it and plot that digital signal on ILA. Can i use the gpio vivado ip for that purpose....
  3. Hello forum!!! I want to interface an ADC(AD9255/65) to zynq Soc. I have tried with on board ADC which is 1MSPS, but i want to interface a high speed ADC (125MSPS) which is necessary for my application. Can you please explain me the whole procedure and any documentation. I want to design vivado block design and export it in hardware sdk......
  4. Got it!!!! Thank you so much....
  5. The code you have sent is not opened. i am not going to use any verilog code in this project i am using a block design. I am attaching my project step by step. I gone through xillinx document also. I am unable produce pure sine wave. I used 2 dds compilers one for phase generator and another is fot sin/cos LUT only my phase increment value is 1100110011010 that is 6554 in decimal. standard mode, fixed phase with system clk 100Mhz and output is 10Mhz.
  6. Thank you for your response. As you said so i am trying to generate a 10Mhz sine. for that i used 2 dds compilers dds 1) phase only, phase increment of 1100110011010 dds 2) sin and cos lut, 16 bit output width My ILA ouput i s like this. what is my mistake and how can i fix this
  7. hello every one, i am trying to generate a sine wave of 100 Mhz, i used a dds compiler with the below configuration phase generator and sincos LUT,100Mhz, standard mode, hardware parameters, 16bit output. with the phase increment value 1000000000000000. i am getting pure triangular wave. how can i get sine wave..... Any help would be appreciated!
  8. hiii i generated a sine wave with 100Mhz frequency by using a dds compiler. i connected the output of dds with the xfft ip core through a data fifo. i connected the xfft to the zynq with the dma. i generated the bitstream without any errors. i am wondering for sdk code to my block design. anybody please help me ...
  9. I am very thankful to you. I will try and come back to you...
  10. Hiii, I am trying to convert a sine wave into digital signal with XADC using Zynq-7010 (XC7Z010) board but i am not getting any output. I tried so many tutorials. Anyone please help me.... I used Axi-lite interface and vp and vn as input, i tried with aux 2 channel also. i gave 1 Vpp sine wave with 1kh frequency. i want to read it on the terminals. I used XADC in single channel mode. I can able to read the on chip temp and voltages but i am not getting how i can enable the external analog input. I used as the following code and design #include <stdio.h> #include "xsysmon.h" #include "xparameters.h" #include "xil_io.h" #include "xil_types.h" #include "platform.h" #define SYSMON_DEVICE_ID XPAR_SYSMON_0_DEVICE_ID #define XSysMon_RawToExtVoltage(AdcData) \ ((((float)(AdcData))* (1.0f))/65536.0f) #define XSM_CFR0_DU_MASK 0x0800 /**< Bipolar/Unipolar mode */ #define XSM_VPVN_OFFSET (XSM_IP_OFFSET + 0x20C) /**< ADC out of VP/VN */ static XSysMon SysMonInst; int SysMonFractionToInt(float FloatNum); int main() { u16 ExtVolRawData; float ExtVolData; int xStatus; XSysMon_Config *SysMonConfigPtr; XSysMon *SysMonInstPtr = &SysMonInst; //static int incr_size = 0x0; //volatile static int VN_OFFSET_VALUE = 0x7fff; //- Offset value for XADC's VN init_platform(); print("Hello World\n\r"); //----------------------------------------------------------------------- SysMon Initialize SysMonConfigPtr = XSysMon_LookupConfig(SYSMON_DEVICE_ID); if(SysMonConfigPtr == NULL) printf("LookupConfig FAILURE\n\r"); xStatus = XSysMon_CfgInitialize(SysMonInstPtr, SysMonConfigPtr,SysMonConfigPtr->BaseAddress); if(XST_SUCCESS != xStatus) printf("CfgInitialize FAILED\r\n"); // Disable the channel sequencer. The documentation states that we should do this XSysMon_SetSequencerMode(SysMonInstPtr, XSM_SEQ_MODE_SAFE); //Enable the Channel Sequencer in continuous sequencer cycling mode. XSysMon_SetSequencerMode(SysMonInstPtr, XSM_SEQ_MODE_CONTINPASS); // Wait till the End of Sequence occurs XSysMon_GetStatus(SysMonInstPtr); /* Clear the old status */ //set the INPUT enables. XSysMon_SetSeqInputMode(SysMonInstPtr, XSM_SEQ_CH_VPVN); //set the SINGLE CHAN enables. XSysMon_SetSequencerMode(SysMonInstPtr, XSM_SEQ_MODE_SINGCHAN); while(1) { ExtVolRawData = XSysMon_GetAdcData(SysMonInstPtr,XSM_CH_VPVN); //Read the external Vpn Data ExtVolData = XSysMon_RawToExtVoltage(ExtVolRawData); printf("The Current VpVn is %0d.%03d Volts. \r\n", (int)(ExtVolData), SysMonFractionToInt(ExtVolData)); usleep(500000); //wait 500ms } return 0; } //---------------------------------------------------------------------------------------------- int SysMonFractionToInt(float FloatNum) { float Temp; Temp = FloatNum; if (FloatNum < 0) { Temp = -(FloatNum); } return( ((int)((Temp -(float)((int)Temp)) * (1000.0f)))); } I am getting output as The Current VpVn is 0.001 Volts. with the sine input of 1Vpp at 1 KHZ
×
×
  • Create New...