Jump to content
  • 0

Arty S7-50 - programming issue


rob2018t

Question

Hello,

I've just signed up and I was hoping for some early help from the community regarding a new board I have from Digilent. It is the Arty S7-50. I'm new to FPGA so probably don't know all the correct terms but have tried to follow through an online tutorial to get the first demo project going - please see https://reference.digilentinc.com/vivado/getting_started/start

I ran into real problems in section 10 and cannot get the 'program device' link to work (it is greyed out). If I open target and autoconnect I get an instance of local host running. And if I create svf target I can select a xilinx_tct but I don't see the Arty board.

JP1 is currently on and I've connected the board to my PC via a standard usb cable. When I plug it in there is not new usb device notification like when other hardware is added.

I tried this from the command line, using my path as required, but it failed:

install_drivers_wrapper.bat <Vivado install path>\data\xicom\cable_drivers\nt64 C<Vivado install path>\install.log <Vivado install path>\

My PC is Windows 10 Creator AMDx86-64

 

any pointers would be really appreciated,

 

regards

 

Rod

image.png

Link to comment
Share on other sites

5 answers to this question

Recommended Posts

Hi Jon,

Thanks for your quick reply.

  • JP13 is set to USB.
  • LD9 is on.
  • Under ports of device manager I can only see 'Communications Port COM1' and
  • I cannot see anything Arty related under the items in USB controllers.

When my board powers up the LEDs  LD2-LD5 strobe and the two RGB LED cycle colours - I'm guessing that is a default program in the FPGA,

regards,

Rod

 

Link to comment
Share on other sites

Hi @rodb2018,

The strobing leds are part of the out of box demo loaded into the qspi. The mode jumper is set to qspi. Set the mode jumper to JTAG. Also please check the usb cable. can you connect an android styled smart phone to your pc with this cable and are able to download files or pictures. Please download Adept 2. Are you able to see the Arty-S7.

thank you,

Jon

Link to comment
Share on other sites

Jon,

I've sorted it now thanks to your comment about device manager; that got me thinking so I tried another USB cable. My PC immediately pinged to state a USB device was attached and I can see the Digilent board within Vivado now. Plus the 'Program Device' button was now usable....and I've managed to program my test bitstream e.g. LD2 has started to flash as per the tutorial code.

Thanks again,

Rod

 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...