Jump to content
  • 0

Problems occured while following the PMODs examples in Digilent website


alpha94

Question

hi @artvvb

I've started a new thread for the discussion as you have suggested.

As of now we have found out a bug in the PMODOLED project, that there doesn't exists any ext_spi_clk for the pmod. Do you have something, I can fix the issue?

For now I've started with the PMODGYRO where I came across with many issues. I'll attach the sreenshots shortly.

Link to comment
Share on other sites

5 answers to this question

Recommended Posts

Thanks!

For the Pmod OLED, I have looked into it, and the pin that is usually connected to the ext_spi_clk port is tied to s_axi_aclk instead. This could cause problems if the AXI clock is running faster than 160MHz. I don't foresee this being an issue for the majority of users. This was an issue in the Pmod OLED's entry in the table at the top of the Pmod IP getting started guide. I have since fixed the error in the table. Thank you for bringing this to our attention. You should now be able to follow the guide to get the Pmod OLED running (ignoring the part where ext_spi_clk is connected, as with other Pmod IPs that don't have this port).

What issues are you having with the Pmod GYRO? What version of Vivado are you using? We are currently in the process of making sure that all of the Pmod IPs work in Vivado 2016.4, so I will bump this up the list.

Thanks again,

Arthur

Link to comment
Share on other sites

On 10/27/2017 at 8:40 PM, artvvb said:

Thanks!

For the Pmod OLED, I have looked into it, and the pin that is usually connected to the ext_spi_clk port is tied to s_axi_aclk instead. This could cause problems if the AXI clock is running faster than 160MHz. I don't foresee this being an issue for the majority of users. This was an issue in the Pmod OLED's entry in the table at the top of the Pmod IP getting started guide. I have since fixed the error in the table. Thank you for bringing this to our attention. You should now be able to follow the guide to get the Pmod OLED running (ignoring the part where ext_spi_clk is connected, as with other Pmod IPs that don't have this port).

What issues are you having with the Pmod GYRO? What version of Vivado are you using? We are currently in the process of making sure that all of the Pmod IPs work in Vivado 2016.4, so I will bump this up the list.

Thanks again,

Arthur

I added the clock  and I still have the error with XPAR_PMODOLEDRGB_0_AXI_LITE_SPI_BASEADDR.

fFYGSx7zT_6RIs2rPlhm3A.png

Did I miss something?

Link to comment
Share on other sites

14 hours ago, jpeyron said:

Hi @tester11,

I believe your issue is that you need to connect the pmod out and pmod oledgrb out to either a pmod port or make it external and connect to specific pins as I describe in your other post here.

They are connected to Connector JA and JB.I set the Pmods as external and I still got the error

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...