Jump to content
  • 0

Arty-Pmod Help


Vivadong69

Question

I'm attempting to follow the "Basys 3 General I/O Demo" (https://reference.digilentinc.com/learn/programmable-logic/tutorials/basys-3-general-io/start)

This page has forwarded me to "Using Digilent Github Demo Projects" (https://reference.digilentinc.com/learn/programmable-logic/tutorials/github-demos/start)

That page has forwarded me to "Arty Pmod VGA Demo" (https://reference.digilentinc.com/learn/programmable-logic/tutorials/arty-pmod-vga-demo/start)

(1) I went and installed and merged the board files into board_parts (https://reference.digilentinc.com/reference/software/vivado/board-files-legacy?redirect=1)

(2) When I attempt to run the "Pmod-VGA" project and generate bitstream I get the following error:       ...does this have to do with the board support files? What source files do I add to get this to work?

 [filemgmt 20-730] Could not find a top module in the fileset sources_1.
Resolution: With the gui up, review the source files in the Sources window. Use Add Sources to add any needed sources. If the files are disabled, enable them. You can also select the file and choose Set Used In from the pop-up menu. Review if they are being used at the proper points of the flow.

Some details:
-I'm using a Digilent Basys3 Board
-Vivado 2014.4
-Very new to FPGAs so I'm really trying to follow the simple tutorials as best I can

Any help is appreciated!
 

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

Hi @Vivadong69,basys 3 xdc

I do not believe that the Board files are causing this issue. To make this project work for the basys 3 and the Pmod VGA  you add the top.vhl as a source in a Vivado project. Next add the clocking wizard.  Then set the clocking wizard to the output frequency dictated in the top.vhl for the desired resolution. Then you add the constraint file for the basys 3 and use the arty xdc file as a reference for basys 3 xdc.  If you are trying to use this project with the VGA component on the Basys 3 then you need to use the pins for the vga in the basys 3 xdc and not the  pmod ports. Here is a forum thread that has a project for the basys 3 using the VGA.

cheers,

Jon

top.vhd

Arty_Master.xdc

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...