Jump to content
  • 0

Nexys Video DDR3 place errors


Robert Finch

Question

Hi,

I'm trying to use the DDR3 controller from the looper project in another project and there are a whole bunch of placer errors when the design is placed. Why is the signal unplaced when the placement is specified directly in the .xdc file ?

[Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer

Example .xdc line:

set_property SLEW FAST [get_ports {ddr3_dq[0]}]
set_property IN_TERM UNTUNED_SPLIT_50 [get_ports {ddr3_dq[0]}]
set_property IOSTANDARD SSTL15 [get_ports {ddr3_dq[0]}]
set_property PACKAGE_PIN G2 [get_ports {ddr3_dq[0]}]
 

 

Link to comment
Share on other sites

5 answers to this question

Recommended Posts

Okay, that didn't work. Same results. I post all the placer errors in case there's a clue as to what's going on. I note that it doesn't pick up the differential clocks either.

What I did was include the mig_7series_0.xci file from looper into the new project along with the stub. I also copied the contents of the .xdc file. The looper project itself builds without any errors. Since this is just using the same component in a different project I don't understand why there are errors.

  • Place Design
  • [DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port ddr3_ck_n[0] is Single-Ended but has an IOStandard of DIFF_SSTL15 which can only support Differential
  • [DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port ddr3_ck_p[0] is Single-Ended but has an IOStandard of DIFF_SSTL15 which can only support Differential
  • [DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port ddr3_dqs_n[0] is Single-Ended but has an IOStandard of DIFF_SSTL15 which can only support Differential
  • [DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port ddr3_dqs_n[1] is Single-Ended but has an IOStandard of DIFF_SSTL15 which can only support Differential
  • [DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port ddr3_dqs_p[0] is Single-Ended but has an IOStandard of DIFF_SSTL15 which can only support Differential
  • [DRC 23-20] Rule violation (IOSTDTYPE-1) IOStandard Type - I/O port ddr3_dqs_p[1] is Single-Ended but has an IOStandard of DIFF_SSTL15 which can only support Differential
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[14].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[14].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[15].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[15].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[1].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[1].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[2].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[2].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[3].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[3].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[4].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[4].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[5].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[5].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[6].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[6].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[7].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[7].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[8].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[8].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[9].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[9].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_dqs_diff.u_iobuf_dqs/IBUFDS (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_dqs_diff.u_iobuf_dqs/IBUFDS_0 (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_dqs_diff.u_iobuf_dqs/OBUFTDS (OBUFTDS) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_dqs_diff.u_iobuf_dqs/IBUFDS (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_dqs_diff.u_iobuf_dqs/IBUFDS_0 (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
  • [Place 30-69] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_dqs_diff.u_iobuf_dqs/OBUFTDS (OBUFTDS) is unplaced after IO placer
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[14].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[14].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[15].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[15].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[1].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[1].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[2].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[2].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[3].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[3].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[4].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[4].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[5].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[5].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[6].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[6].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[7].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[7].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[8].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[8].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[9].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[9].u_iobuf_dq/OBUFT (OBUFT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_dqs_diff.u_iobuf_dqs/IBUFDS (IBUFDS_INTERMDISABLE_INT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_dqs_diff.u_iobuf_dqs/IBUFDS_0 (IBUFDS_INTERMDISABLE_INT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_dqs_diff.u_iobuf_dqs/OBUFTDS (OBUFTDS) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_dqs_diff.u_iobuf_dqs/IBUFDS (IBUFDS_INTERMDISABLE_INT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_dqs_diff.u_iobuf_dqs/IBUFDS_0 (IBUFDS_INTERMDISABLE_INT) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_dqs_diff.u_iobuf_dqs/OBUFTDS (OBUFTDS) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/dqs_gen.oddr_dqs (ODDR) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/dqs_gen.oddr_dqsts (ODDR) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[1].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[1].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[3].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[3].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[4].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[4].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[5].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[5].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[6].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[6].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[7].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[7].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[8].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[8].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[9].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/input_[9].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[1].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[3].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[4].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[5].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[6].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[7].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[8].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_C.ddr_byte_lane_C/ddr_byte_group_io/output_[9].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/dqs_gen.oddr_dqs (ODDR) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/dqs_gen.oddr_dqsts (ODDR) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[0].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[0].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[1].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[1].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[4].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[4].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[5].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[5].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[6].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[6].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[7].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[7].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[8].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[8].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[9].iserdes_dq_.idelay_dq.idelaye2 (IDELAYE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/input_[9].iserdes_dq_.iserdesdq (ISERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[0].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[1].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[4].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[5].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[6].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[7].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[8].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-68] Instance umpmc1/u_ddr/u_mig_7series_0_mig/u_memc_ui_top_std/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/u_ddr_mc_phy/ddr_phy_4lanes_0.u_ddr_phy_4lanes/ddr_byte_lane_D.ddr_byte_lane_D/ddr_byte_group_io/output_[9].oserdes_dq_.ddr.oserdes_dq_i (OSERDESE2) is not placed
  • [Place 30-99] Placer failed with error: 'IO Clock Placer failed' Please review all ERROR, CRITICAL WARNING, and WARNING messages during placement to understand the cause for failure.
  • [Common 17-69] Command failed: Placer could not place all instances
Link to comment
Share on other sites

Hi Robert,

I am facing a similar issue, the error i get is very similar from yours, so i would kindly ask for your help.

I am adding a MIG7 interface on an Arty A7-100T board, i load it from digilent board files. But placement fails and i get similar errors as yours.

I am quite noob to the Vivado flow and debug, and i am stuck on this one. could you please explain how did you solve it? Would be very helpful to give me some hints.

Thanks

jm

 

ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[14].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[14].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[15].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[15].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[1].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[1].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[2].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[2].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[3].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[3].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[4].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[4].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[5].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[5].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[6].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[6].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[7].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[7].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[8].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[8].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[9].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[9].u_iobuf_dq/OBUFT (OBUFT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_ddr2_or_low_dqs_diff.u_iobuf_dqs/IBUFDS/IBUFDS_M (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_ddr2_or_low_dqs_diff.u_iobuf_dqs/IBUFDS/IBUFDS_S (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[0].gen_ddr2_or_low_dqs_diff.u_iobuf_dqs/OBUFTDS (OBUFTDS) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_ddr2_or_low_dqs_diff.u_iobuf_dqs/IBUFDS/IBUFDS_M (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_ddr2_or_low_dqs_diff.u_iobuf_dqs/IBUFDS/IBUFDS_S (IBUFDS_INTERMDISABLE_INT) is unplaced after IO placer
ERROR: [Place 30-69] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dqs_iobuf_HR.gen_dqs_iobuf[1].gen_ddr2_or_low_dqs_diff.u_iobuf_dqs/OBUFTDS (OBUFTDS) is unplaced after IO placer

 

ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[0].u_iobuf_dq/OBUFT (OBUFT) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[10].u_iobuf_dq/OBUFT (OBUFT) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[11].u_iobuf_dq/OBUFT (OBUFT) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[12].u_iobuf_dq/OBUFT (OBUFT) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/IBUF (IBUF_INTERMDISABLE) is not placed
ERROR: [Place 30-68] Instance i_system/mig_7series_0/u_system_mig_7series_0_0_mig/u_memc_ui_top_axi/mem_intfc0/ddr_phy_top0/u_ddr_mc_phy_wrapper/gen_dq_iobuf_HR.gen_dq_iobuf[13].u_iobuf_dq/OBUFT (OBUFT) is not placed

Link to comment
Share on other sites

Hi again,

problem solved. Indeed it was a connection problem. I used the option "generate HDL wrapper" on the block design, and it brought me the top-level interface of the ddr that i put on m top.

noob mistake. The design works now and ddr is functional.

Thx

jm

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...