Jump to content
  • 0

Is there a way to get analog signals into the Arty XADC using the PMOD connector?


Meechy

Question

I see that the analog pinx for the XADC are broken out to A0 to A11 on the Arty A7 board. Is there any way to measure analog signals using the XADC through the PMOD connector or is it only possible with the analog pins on the top of the Arty board?

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

  • 0

Hi @Meechy,

Unfortunately you'll be limited to using the Arduino styled header as none of the XADC connections (the CK_A<x> lines and corresponding XADC pins on the FPGA itself on bank 35, see pages 3 and 5 of the Arty A7 schematic, https://digilent.com/reference/_media/programmable-logic/arty-a7/arty-a7-e2-sch.pdf) are routed to any of the Pmod ports (page 1, 4, and 5).

Let me know if you have any questions.

Thanks,
JColvin

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...