Jump to content
  • 0

[ANSWERED] Ethernet stop working when perform petaLinux-config get-hw-description


walop

Question

Hi,

I'm using Vivado 2021.2 and also petalinux 2021.2, and ZedBoard Rev F.

create petalinux project with BSP file provided in Xilinx website, build, upload to zedboard. and Every thing work good.

 

Then Create vivado project with zedboard, thenmake only the basic blocks "Zynq block with FCLK_CLK0 -> M_AXI_GP0_ACLK" Generate bitstream finally export hardware with include bitstream. then im doing petalinux-config --get-hw-description -> build -> upload to ZedBoard.

But Ethernet stop working.

this msg appear in Boot.

ZYNQ GEM: e000b000, mdio bus e000b000, phyaddr 0, interface rgmii-id                                                                                          
Could not get PHY for eth0: addr 0                                                                                                                            
No ethernet found.

 

and no eth0 device when perform ifconfig command. what im doing wrong?
 

> ifconfig eth0 up

macb e000b000.ethernet eth0: validation of rgmii-id with support 0000000,00000000,00006280 and advertisement 0000000,00000000,00004280 failed: -22            
macb e000b000.ethernet eth0: Could not attach PHY (-22)                                                                                                       
ifconfig: SIOCSIFFLAGS: Invalid argument

 

when i'm try this image in qemu, it's appera to work
 

> petalinux-boot --qemu --u-boot

ZYNQ GEM: e000b000, mdio bus e000b000, phyaddr 0, interface rgmii-id
eth0: ethernet@e000b000

 

also i'm try to figure what is change between old config file "generated with BSP" and new config file generated by "XSA"?

Edited by walop
Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 1

****** Found the Answer *************

 

comparing two configuration html file ps7_init, before and after petalinux-config --get-hw-description. i found the problem.

 

Vivado automatically assign Eth0 pins to HSTL 1.8V, while it must be LVCMOS 1.8V

Also in Enet0 -> MDI0 vivado assign it automatically to EMiO, wile it must be set to MIO 52..53

 

Edit these two options -> export hardware -> petalinux-config --get-hw-description again -> build

 

then it's working finally. 😁

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...