Jump to content

Beginners-Blinky Example not working


Cheeku

Recommended Posts

Hi,

I have started my first project through Digilent tutorials 

https://digilent.com/reference/programmable-logic/guides/getting-started-with-vivado

I am getting errors as below, as i am new and dont know how to resolve 

Can you please help me with the resolution steps or if anyone has step by step video which i will follow to get it run.

i have reached upto RUN Implementation step and aftter that during bitstream generator, i am getting erroe (Snapshot attached)

image.png.c581b43bd57391f0917cda3267d1264a.png

image.thumb.png.54d4a7d20ef40d67b669b80544fbfc9b.png

Edited by Cheeku
Snapshot missed
Link to comment
Share on other sites

Hi @Cheeku,

The port names used in the XDC file need to exactly match the top-level port names used in the project's top-level verilog module. This means that the "clk" and "led" signals that show up in the blinky module must be referenced by name in the get_ports parts of the constraints. The critical warning indicates that there might be a mismatch.

Thanks,

Arthur

10_edit_xdc.png

 

Link to comment
Share on other sites

For the constraints, use the Zybo-Z7-Master.xdc file instead of the Zybo-Master.xdc file. The latter is for an older version of the board, before a rebrand, and there are some pin location differences, including the clock pin.

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...