Jump to content

Cheeku

Members
  • Posts

    7
  • Joined

  • Last visited

Recent Profile Visitors

The recent visitors block is disabled and is not being shown to other users.

Cheeku's Achievements

Newbie

Newbie (1/4)

0

Reputation

  1. Hi, Where i can get code understanding of blinky example so i can write my own code and play around Evaluation board?
  2. Hi, Can you please help how we can blink 2 leds now? I tried uncommenting next line but it didnt happen
  3. Hi, I was following tutorials, and doing the same steps as mentioned. Below is the problem
  4. Hi, Thanks for reply, Implemented as suggested and program ZYBO Z7 board. But i dont see Blinking of LED, i see LD4=ON (Green), LD13=ON (RED), LD13=ON (Green)
  5. Hi, I have started my first project through Digilent tutorials https://digilent.com/reference/programmable-logic/guides/getting-started-with-vivado I am getting errors as below, as i am new and dont know how to resolve Can you please help me with the resolution steps or if anyone has step by step video which i will follow to get it run. i have reached upto RUN Implementation step and aftter that during bitstream generator, i am getting erroe (Snapshot attached)
  6. Hi, I am new for FPGA and i have purchased ZYBO Z7 for Learning. I have 12 years of experience in Hardware includes Analog, Digital, MCU and Power supplies. Can you please help from where should i start? Which software's required to intsall to make it work and to do hands on.
×
×
  • Create New...