Jump to content
  • 0

Vivado 2022.2 won't accept Basys3 board


StevenR

Question

I bought a Basys3 FPGA.  I installed  Vivado 2022.2.  When I try to crate a new project, I open the "Boards" tab.  When I select "Basys3,"  the "Next" button is disabled.  If I select the Artix-7 board, the "Next" button is ebabled, but that doesn't help me. Selecting "Basys3" disables the "Next"  button.

I suspect that some files relating to Basys3 are missing. Please help.

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 0

Hi @StevenR,

There are a couple of things that might have gone wrong based on your description. One is that the Artix 7 Series devices weren't included in your Vivado/Vitis installation, the other is that the board files aren't properly installed.

Digilent has an installation guide that walks through this process so you can compare and see if anything was missed. The section that addresses the first possible issue is here: https://digilent.com/reference/programmable-logic/guides/installing-vivado-and-vitis#install_vivado_and_vitis_xilinx_unified_installer, and the second issue of the board files is here: https://digilent.com/reference/programmable-logic/guides/installing-vivado-and-vitis#install_digilent_s_board_files. One thing to note with the Board Files is (at least historically) you would need to have Vivado closed or restart Vivado before the board files were successfully detected.

Let me know if you are still having difficulty.

Thanks,
JColvin

Link to comment
Share on other sites

  • 0

If you haven't manually installed the board files per the guides JColvin linked, this behavior can show up. Click the download button in the "Status" column for your board to install the version of the board files present in Xilinx's system. See below:

image.png

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...