Jump to content
  • 0

A0-A5 Pins not working


robb

Question

Hello

I am using the Arty Z7-10 board. I am very new to hardware development and am going through the demos Diligent offers. I wish to use the Single ended ADC pins (A0-A5), however I have not been able to get them working. 

I used the XADC demo for the differential pins (1st link below) as a guide for implementing the single ended pins, but even with reconfiguring the XADC (see 1st figure) nothing happens. I left the .v file alone, but even the switches wont even turn on the LEDs like it did for the original demo.

I did read the manual and I have all the updated files, I just don't know how to do this. Any material and helps appreciated.

Thank you

Used this demo (works): https://digilent.com/reference/programmable-logic/arty-z7/demos/xadc?redirect=1

 

image.thumb.png.a4e4528f5b0d7c396e59d12d0ab5b61a.png

image.png.8a3645ed4b2739a0a1f2ee6de488c8d5.png

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 0

Hi @robb,

I unfortunately did not get the opportunity to adjust the HDL and .xdc to the pins you wish to use, but the problem you are running into is that the silkscreen pin number of the analog input pins is not necessarily the same as the XADC channel number in the Zynq processor in the Arty Z7 itself.

Based on page 9 of the schematic on Bank 35, https://files.digilent.com/resources/programmable-logic/arty-z7/arty-z7-d0-sch.PDF, the chipkit A0 through A5 (correspondingly named CK_AN<X>_P) are associated with the following channel numbers on the channel sequencer (which you can tell based on the "_AD#P" portion of the actual pin name on the Zynq chip).

CK_AN0 = vauxp1
CK_AN1 = vauxp9
CK_AN2 = vauxp6
CK_AN3 = vauxp15
CK_AN4 = vauxp5
CK_AN5 = vauxp13

After enabling those channels, the .xdc would need to be adjusted to correctly enable those pins (a master .xdc listing all of the pins is available here https://github.com/Digilent/digilent-xdc/blob/master/Arty-Z7-10-Master.xdc)

As for why this isn't better detailed or explained in the reference manual or the demo page is a great question; I have put in a request to get this corrected, though with the Thanksgiving week here in the US I do not anticipating this happening until at least next week. I should be able to help you further with this project then as well.

Please let me know if you have any questions.

Thanks,
JColvin

Link to comment
Share on other sites

  • 0

Hi @robb

XADCdemo.v also needs to be modified in order to access other XADC channels. The lines setting the value of the Address_in register ("Address_in <= 8'h1C;") and using the channel_out signal ("channel_out == 8'h1C") hardcode XADC DRP register addresses that correspond to the XADC results registers. The demo as posted only reads from channels 12 (address 0x1C) and 0 (address 0x10). See Xilinx UG480 for more info on the register space of the DRP interface.

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...