Jump to content
  • 0

UCF to XDC


PoojaN

Question

I had a written a code for one of my boards a few years back using ISE. Now I want to transfer it to Vivado.

I had used the following line in the UCF file before,\

NET "INPUT_1" LOC = "P6" | IOSTANDARD = LVCMOS33 | SCHMITT_TRIGGER ;

I changed it to xdc in the following way,


set_property -dict {PACKAGE_PIN U11 IOSTANDARD LVCMOS33} [get_ports {INPUT_1}], but I don't understand where can I enter the SCHMITT_TRIGGER IOSTANDARD?

Can anyone help me with this?

Link to comment
Share on other sites

6 answers to this question

Recommended Posts

Yes I tried that, but gives me the following error

[Netlist 29-69] Cannot set property ' set_property SCHMITT_TRIGGER  TRUE [get_ports {JA[0]}]', because the property does not exist for objects of type 'port'. ["C:/Projects/raccer_board_1/raccer_board_1.srcs/constrs_1/new/raccer.xdc":11].

I also tried changing the names and trying out all possible permutations and combinations to not get an error.

Link to comment
Share on other sites

1 hour ago, jpeyron said:

set_property SCHMITT_TRIGGER  TRUE [get_ports {INPUT_1}]

I got the following error when I used this command in the XDC

[Netlist 29-69] Cannot set property 'SCHMITT_TRIGGER', because the property does not exist for objects of type 'port'. ["C:/Projects/raccer_board_1/raccer_board_1.srcs/constrs_1/new/raccer.xdc":11].

 


 

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...