Jump to content
  • 0

Request to test this hdmi_out code (ZYBO z7-20)


Kris Persyn

Question

Hi there,

I designed a small module that's supposed to feed timing signals into the rgb2dvi block provided by digilent. The code I wrote which produces this can be found here. The connections required in the block design can be seen below in the picture. The I/O planning is shown as well. I'm not getting any HDMI out of it. I checked the testbench and it produced the correct sequence of outputs. (When the VDE signal is high the screen uses the color rgb_in) Can anyone test this on his board or notice any flaws?

If you run this on a zybo z7-20:
To create the required clock signal use clock wizard (input 125 MHz clock on pin k17 and produce 148.5 MHz to the timing module)

dvi.thumb.PNG.cab400935917c99c98ca34324a243c38.PNG

IO.PNG.2c97c8f2a6aee385cfa3b200dfc53e99.PNG

rgb2dvi.PNG.84e92a30232702a6a68d4689e2781799.PNG

manual.PNG.8e7ebed3f6471aa8777ae52af6599613.PNG

Thanks a lot in advance.

 

Link to comment
Share on other sites

4 answers to this question

Recommended Posts

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...