Jump to content
  • 0

Creating real-time data log of FPGA sensor readings


Jay Seo

Question

Hi,

I am planning to design a system that can retrieve various sensor readings from a Basys3 FPGA board over a wireless connection (wifi or bluetooth); I wish the readings can be stored as a type of file that can later be analysed using Matlab. It is a VHDL design and the PC OS is WIndows 10.

I have no past experience in designing such complex system, and I desperately need some guidance.

Which PC program should I employ to communicate with the FPGA board? How do I save sensor readings as a file?

Code wise, which references should I be looking at? Any other detailed guidance would be greatly appreciated. 

 

 

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

Hi @Jay Seo,

I use tera term as my serial terminal. To save to a file i have attached the below directions.

Teraterm Save to File
Do in the following commands:
1. Do [File] Log command.
2. "Tera Term: Log" dialog box will be shown. Input the saving file name to `File Name:'. ...
3. Push OK button, "Tera Term: Log" icon will be shown. And logging will start.
4. Push Close or Pause button on the logging dialog box if you finish the logging or temporarily stop.

 

I would suggest the pmodBT2. Here is a forum thread that has a PmodBT2 demo. The demo is for ISE but the VHDL code will work in Vivado.

thank you,

Jon

 

tera_term_save_a_file.png

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...