Jump to content

lordfrito

Members
  • Posts

    3
  • Joined

  • Last visited

lordfrito's Achievements

Newbie

Newbie (1/4)

1

Reputation

  1. Hey @attila Thanks so much for this, completely fixed my problem, I'm back off and running! Amazing turn around time. You guys are the best. John
  2. OK so If I understand what you are saying in 40 channel mode the last 8 channels won't be converted correctly to bus values. But the lower 32 should be fine. When is the next version of Waveforms expected to drop? Alternatively, are there nightly builds that are available? Thanks
  3. Hello. I'm having a strange problem I'm not sure what the root is, but to me (with my limited knowledge) it seems to be a bug in Waveforms. Root problem is that waveforms is capturing the binary signals correctly (display is correct), but the converted hex value is wrong. The setup: I'm measuring a 12-bit bus whose value will change on a rising clock edge. Due to propagation delay, it takes a bit of time (100 ns or so max) before the correct value shows up on the bus. I've setup Waveforms to account for this delay (plus additional headroom) before capturing bus value Waveforms shows the binary digital signals are correctly captured, 1's where expected, 0's where expected. But Waveforms is displaying the wrong hex value for the bus. Which is weird -- I would expect displayed hex value to match the binary signals shown. Anyhow the attached picture is worth a thousand words. The red line marks the point where the A0..11 bus value is being captured, about 150 ns after the clock signal transitions high. You can see where the bus sampling occurs by the dividing line between values in the A0..11 bus trace. You can clearly see A0..11 transition to all high shortly after the clock rises. 150ns later I sample (the red line) and should get hFFF for the bus value. But Waveforms displays h2FF. Many of the other values are incorrect as well. Where it says h1E1 it should read h0E1 (check signals). The end of the plot should be reading FFF over and over, but Waveforms is showing CFF over and over. So what's going on here? Why would Waveforms show the correct signal levels, but then convert the binary to hex incorrectly? Or am I doing something incredibly stupid? I've played with the delay timing but nothing helps. Help appreciated!
×
×
  • Create New...