Jump to content

Question

ERROR: [Drc 23-20] Rule violation (NSTD-1) Unspecified I/O Standard - 20 out of 21 logical ports use I/O standard (IOSTANDARD) value 'DEFAULT', instead of a user assigned specific value. This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all I/O standards. This design will fail to generate a bitstream unless all logical ports have a user specified I/O standard value defined. To allow bitstream creation with unspecified I/O standard values (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks NSTD-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run. Problem ports: vgared[3:0], vgagreen[3:0], vgablue[3:0], ja[7], ja[6], ja[5], ja[4], ja[0], clk100mhz, hsync, vsync.
ERROR: [Drc 23-20] Rule violation (UCIO-1) Unconstrained Logical Port - 20 out of 21 logical ports have no user assigned specific location constraint (LOC). This may cause I/O contention or incompatibility with the board power or connectivity affecting performance, signal integrity or in extreme cases cause damage to the device or the components to which it is connected. To correct this violation, specify all pin locations. This design will fail to generate a bitstream unless all logical ports have a user specified site LOC constraint defined.  To allow bitstream creation with unspecified pin locations (not recommended), use this command: set_property SEVERITY {Warning} [get_drc_checks UCIO-1].  NOTE: When using the Vivado Runs infrastructure (e.g. launch_runs Tcl command), add this command to a .tcl file and add that file as a pre-hook for write_bitstream step for the implementation run.  Problem ports: vgared[3:0], vgagreen[3:0], vgablue[3:0], ja[7], ja[6], ja[5], ja[4], ja[0], clk100mhz, hsync, vsync.
INFO: [Vivado 12-3199] DRC finished with 2 Errors, 1 Warnings
 

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

your top level pins don't match the constraints file. Vivado is complaining that it hasn't been told which package pin corresponds to each of your signals.

Fixing that will most likely take care of the 2nd error  (it also needs to be told which IO standard to use, usually at the same spot in the constraints file).

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...