Jump to content

Cora Z7 interface to PMOD AD1


Recommended Posts

Hi Im new Im trying to interface PMOD AD1 to CoraZ7 board (plug in Ja Port ) ,  any one can help me whatis the corret pin i need to config in JA  ihave this issue  


## Pmod Header JA
set_property -dict { PACKAGE_PIN Y18   IOSTANDARD LVCMOS33 } [get_ports { Pmod_out_pin1_io }]; #IO_L17P_T2_34 Sch=ja_p[1]
set_property -dict { PACKAGE_PIN Y19   IOSTANDARD LVCMOS33 } [get_ports {Pmod_out_pin2_io }]; #IO_L17N_T2_34 Sch=ja_n[1]
set_property -dict { PACKAGE_PIN Y16   IOSTANDARD LVCMOS33 } [get_ports { Pmod_out_pin3_io] }]; #IO_L7P_T1_34 Sch=ja_p[2]
set_property -dict { PACKAGE_PIN Y17   IOSTANDARD LVCMOS33 } [get_ports { Pmod_out_pin4_io }]; #IO_L7N_T1_34 Sch=ja_n[2]

 

BIT STREAM not genarated 

 

image.thumb.png.592823476972f66680594fc3ca9eca67.png

 

image.png.8c254b6b90168a2574055a75343ea341.png

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...