Jump to content
  • 0

Logic on Analog Discovery 2


Mohamad Idris

Question

I am trying to develop a variable frequency square wave using waveforms and Analog Discovery 2. I want to specify the time attributes for which a pulse remains ON or OFF. The frequency option of the custom cannot be used since each pulse in the waveform is almost of different duration. Tried the wavegen, logic and pattern in the waveforms, but no luck so far. 

Any help would be appreciated.  

Link to comment
Share on other sites

4 answers to this question

Recommended Posts

  • 0

In the Patterns instrument, did you try:

  • creating a Signal
  • setting the Type to "Custom"

image.thumb.png.627ae1935b2a24c4d6bb9b62140a6b05.png

 

  • use the Parameter Editor (the button between the "Pin" and "Output" columns image.png.d2b001707494adf3e62fa988f7821e19.png ) to create a custom pulse train? 

image.thumb.png.2f1215e88582637a400be357b355ec47.png

Link to comment
Share on other sites

  • 0

I did that but the four signals have different frequencies and I am using the formula number of samples*frequency to set the sampling rate but post generation the frequency of the signals is not coming to be what is desired  I have attached the files showing the type of waveforms to be generated 

1Mhz.png

500K.png

Link to comment
Share on other sites

  • 0

You don't need to rely on a formula.  The Parameter Editor lets you directly set the position and width of the pulses for each signal.  You need to figure out the number of samples needed to properly create each of the waveforms.  I used 20 samples as a simple example.  You can use the table on the right to set the state of each sample.  If your mouse skills are good enough, you can click and drag in the example waveform display to draw the pulses.

image.png.c9759a675332c4e7873a7f30e32c493e.png

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...