Jump to content
  • 0

Basys3 7 segment lights up with no apparent reason.


Anthocyanina

Question

Hi, i followed a tutorial on a full adder, and everything works as expected, except for the 7 segment display. the project doesn't include it at all, but it dimly lights up after programming finishes. when loading the demo, the display works as expected, with segments fully off when they should be fully off. what may be happening? is this expected behaviour? 

Thank you! 

 

image.thumb.png.d368b21368dfa039b7b2576a9a9abc4c.png

 

Edit to add: one of the DRC errors/warnings is about the configuration voltage and configuration bank voltage selection. I wonder if those two properties not being set might be what's causing this? 

i checked the schematic and see CFGBVS_0 is connected to VCC3V3 with a 4.7k resistor in series. this doesn't make it clear if configuration bank voltage selection should be set to GND or VCCO, but i'm guessing configuration voltage is 3.3V?

Thanks!

Edited by Anthocyanina
Link to comment
Share on other sites

2 answers to this question

Recommended Posts

  • 0

Hi @Anthocyanina,

The same seven-segment behavior can be seen here:

The config voltage warnings are unrelated, but you could include the following lines from the Basys 3 template XDC file for completeness:

Quote

## Configuration options, can be used for all designs
set_property CONFIG_VOLTAGE 3.3 [current_design]
set_property CFGBVS VCCO [current_design]

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...