Jump to content
  • 0

CMOD A7, not all board peripherals are available for Vivado


Dennis Bingaman

Question

I am using the CMODA7-35T.  I have installed the board files for Vivado.  I am using Vivado version 2019.1  I found your instructions for adding a board design with the Micro-blaze processor.  The peripheral modules of this board work when making a top level block design except for the PMOD connector (It is listed but does not work) and gives the following message when attempting to use it.

 

image.png.b3291f7951d4f4346799ec6bc5baf51b.png

Also, the J2 connector (the one with pretty much all of the PIO on the device) is not listed.  Here is a picture of what is listed from Vivado:

image.png.6a06baa2cc11a6db17269cc741fa40ca.png

 

All the other peripherals (LEDs, System Clock, etc.  Function fine.  I don't technically need the PMOD for my project.  But I definitely need access to the J2 connector as this will be plugging into a PCB.

 

Thanks in advance for your help.

Dennis

 

 

image.png

Link to comment
Share on other sites

2 answers to this question

Recommended Posts

  • 0

Hi @Dennis Bingaman

The error message means there aren't any IPs Vivado currently has access to with a Pmod interface. You would need to either add vivado-library to the IP repos for the project and use the various IP with Pmod ports found there, or manually enter constraints for those pins while using some other IP or custom RTL module. This guide covers one way of manually entering constraints for an arbitrary IP: https://digilent.com/reference/programmable-logic/guides/getting-started-with-ipi. It shows this with an AXI GPIO, though any IP or custom module can be connected to external ports the same way. The latter is the better option to learn about, as it gives you much more control over what logic is connected to the Pmod ports.

Thanks,

Arthur

Link to comment
Share on other sites

  • 0
On 7/31/2023 at 9:37 AM, artvvb said:

Hi @Dennis Bingaman

The error message means there aren't any IPs Vivado currently has access to with a Pmod interface. You would need to either add vivado-library to the IP repos for the project and use the various IP with Pmod ports found there, or manually enter constraints for those pins while using some other IP or custom RTL module. This guide covers one way of manually entering constraints for an arbitrary IP: https://digilent.com/reference/programmable-logic/guides/getting-started-with-ipi. It shows this with an AXI GPIO, though any IP or custom module can be connected to external ports the same way. The latter is the better option to learn about, as it gives you much more control over what logic is connected to the Pmod ports.

Thanks,

Arthur

Thanks Author!  That fixed the issues.

Edited by Dennis Bingaman
Possible fix for mistake.
Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...