Jump to content

Need help with 7-Segment Display - Nexys A7 100t


Recommended Posts

I wrote a simple code for Binary to 7 Seg converter but the output  I am getting is not correct. 

My source code is as followed 

image.thumb.png.ba5a4d870f590b73cbe6458f96ba6331.png

The functional simulation is correct after synthesis and implementation but on the board, I don't see the correct output. I have mapped the binary input to switches and output to seven segments. My constraint file is as below 

image.thumb.png.1443bb9e77540c775fa9033b0f487b38.png The output I am getting is shown below 

20230303_084013.thumb.jpg.f2c50b63ddf91bf8b7c0de2227e37612.jpg

What could be the possible reason for this? 

Link to comment
Share on other sites

Hi @Devesh Abhyankar

Your module is connected to the seven-segment display common anode pins. These pins determine whether each of the digits of the display is illuminated or not - one pin per digit. The cathode pins (CA-CG, plus the decimal point DP) drive the segments of each digit. If you switch out the pins that the module is connected to, to drive the cathodes, I expect that your design should work as intended. If you want to individually address each digit, you will also need additional circuitry to drive the common anodes.

Take a look at the seven-segment display section of the reference manual for more info: https://digilent.com/reference/programmable-logic/nexys-a7/reference-manual#seven-segment_display.

Thanks,

Arthur

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...