Jump to content
  • 0

Using of Cmod A7 in Europe


Mtk

Question

I purchased recently Digilent Cmod A7-35T module. However when I tried today to download Xilinx's Vivado Design Suite, got the following:

 

We cannot fulfill your request as your account has failed export compliance verification. If this verification is in error, please review the Export Compliance Information page - https://www.xilinx.com/support/export-compliance.html

 

How this product can be used in Europe, since I am unable do download and install the Xilinx's Vivado Design Suite ?

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

  • 0

Hi @Mtk,

I am sorry to see you have encountered this. The short answer is that there is not a way to use the Cmod A7 without Vivado and that you should return your board for a refund.

The longer answer as I understand it as a non-lawyer is that Xilinx as a U.S. based company is subject to export rules set forth by the US government, so because (as I am guessing) your location in Europe is flagged to not be eligible for the software (Xilinx links to the the country list in the red "here" hyperlink under their Export Compliance Review form dropdown) then Xilinx is not able to provide the software. You can fill out the Export Compliance Review form, but Digilent has no sway over the results.

Also unfortunately, there is not a way to configure the Cmod A7 without Vivado. The critical hurdle being that I am not aware of a way to generate a bitstream without Vivado; creating, synthesizing, and verifying HDL along with uploading an existing bitstream can all be done with external third party tools, but not the actual bitstream generation as far as I am aware.

Let me know if you have any questions and I'll do my best to answer them.

Thanks,
JColvin

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...