I have a working microblaze design on an Arty A7-100 board and need to provide my own external 100 MHz clock. When I define the clock pin in my constraints file, I get this error:
"[Place 30-575] Sub-optimal placement for a clock-capable IO pin and MMCM pair. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets hopper_i/clk_wiz_0/inst/clk_in1_hopper_clk_wiz_0_0] > hopper_i/clk_wiz_0/inst/clkin1_ibufg (IBUF.O) is locked to IOB_X0Y76 hopper_i/clk_wiz_0/inst/mmcm_adv_inst (MMCME2_ADV.CLKIN1) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 The above error could possibly be related to other connected instances. Following is a list of all the related clock rules and their respective instances. Clock Rule: rule_mmcm_bufg Status: PASS Rule Description: An MMCM driving a BUFG must be placed on the same half side (top/bottom) of the device hopper_i/clk_wiz_0/inst/mmcm_adv_inst (MMCME2_ADV.CLKFBOUT) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 and hopper_i/clk_wiz_0/inst/clkf_buf (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y21"
It seems the clock wizard is being placed from the board file in a location not compatible with my desired pin constraint (P17), even though I select "custom" in the clocking wizard IP for clock input, instead of board file. Do I need to draw a GCLK IOB in the block design, or some such?
Question
davec
Hi,
How do I bring the clock in on a different pin?
I have a working microblaze design on an Arty A7-100 board and need to provide my own external 100 MHz clock. When I define the clock pin in my constraints file, I get this error:
"[Place 30-575] Sub-optimal placement for a clock-capable IO pin and MMCM pair. If this sub optimal condition is acceptable for this design, you may use the CLOCK_DEDICATED_ROUTE constraint in the .xdc file to demote this message to a WARNING. However, the use of this override is highly discouraged. These examples can be used directly in the .xdc file to override this clock rule. < set_property CLOCK_DEDICATED_ROUTE BACKBONE [get_nets hopper_i/clk_wiz_0/inst/clk_in1_hopper_clk_wiz_0_0] > hopper_i/clk_wiz_0/inst/clkin1_ibufg (IBUF.O) is locked to IOB_X0Y76 hopper_i/clk_wiz_0/inst/mmcm_adv_inst (MMCME2_ADV.CLKIN1) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 The above error could possibly be related to other connected instances. Following is a list of all the related clock rules and their respective instances. Clock Rule: rule_mmcm_bufg Status: PASS Rule Description: An MMCM driving a BUFG must be placed on the same half side (top/bottom) of the device hopper_i/clk_wiz_0/inst/mmcm_adv_inst (MMCME2_ADV.CLKFBOUT) is provisionally placed by clockplacer on MMCME2_ADV_X1Y2 and hopper_i/clk_wiz_0/inst/clkf_buf (BUFG.I) is provisionally placed by clockplacer on BUFGCTRL_X0Y21"
It seems the clock wizard is being placed from the board file in a location not compatible with my desired pin constraint (P17), even though I select "custom" in the clocking wizard IP for clock input, instead of board file. Do I need to draw a GCLK IOB in the block design, or some such?
Thanks
Link to comment
Share on other sites
5 answers to this question
Recommended Posts
Create an account or sign in to comment
You need to be a member in order to leave a comment
Create an account
Sign up for a new account in our community. It's easy!
Register a new accountSign in
Already have an account? Sign in here.
Sign In Now