Jump to content

Support request for RMA


Recommended Posts

Hi!

At the end of the year 2021, I participated a competition where the prize was Digilent Nexys A7-100 board, which I won.

I'm using that board as a development platform for the Mega65-project.

Last 2 months board has been in very light use, but working as expected, but not anymore.

There are two odd issues with the board now. 1) power-on/off switch no longer works. 2) UART seems to be dead.

I can't use the board anymore so there is obvious problem with it and I'd like to get it fixed in a way or another.

I consulted some helpĀ from other project members and we tried everything to get UART working.

Board itself works and it runs our Mega65 system in it, but without UART I cannot command anything on board

and I cannot get binaries transferred between the board and my development host.

One of our project members said he could do a pmod workaround for another UART but that requires some external components,

I don't have available at the moment.

So I'm trying to find out the proper support path to address this issue.

Could some moderator to put this message to the correct place that I can reach the supporting engineers and get the RMA processed.

Link to comment
Share on other sites

Hi @slouko,

I'm a little confused on what you mean by the on/off switch is no longer working, but the board is still working. Is the switch just stuck in the on position?

Is the Nexys A7 able to be detected by the host computer or Digilent's lightweight Adept system, https://digilent.com/reference/software/adept/start?

I have attached a bitstream for the Nexys A7 GPIO demo that will use the UART connectivity at 9600 baud every time one of the five black buttons is pressed; presuming you are able to connect and load the bitstream, this will be a good indicator of if the UART connectivity is working.

Thanks,
JColvin

GPIO_demo.bit

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...