Jump to content
  • 0

How connect fast pulses into Nexys A7 board


IronMike

Question

Hello. I have a Nexys A7 dev board. I need to connect in fast pulses. They typically connect with 50 Ohm characteristic impedance. A typical pulse is 8 ns wide at the half points.  I do not see any general IO on the A7 that has controlled impedance. Any suggestions welcome. I can certainly make a little daughter buffer board. Thanks.

Link to comment
Share on other sites

0 answers to this question

Recommended Posts

There have been no answers to this question yet

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...