Jump to content
  • 0

Zybo Z7 Pcam 5C Demo in 2021.1


MLee

Question

I'm trying to run the Pcam demo using Vivado 2021.1 and Vitis.

I'm also trying to run this in on a zybo z7 10... I did change the board in vivado settings

I managed to upgrade the vivado project and create a bitstream.

When I program the board with vitis, nothing appears.

I am following this: https://github.com/Digilent/Zybo-Z7-20-pcam-5c/tree/v2019.1-1?_ga=2.105910987.951442270.1643941121-1021714032.1642866656

This is supposedly the most recent release. Does anyone know what modifications need to be made or know if there is 2021.1 version for use?

Edited by MLee
Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...