I was trying to use matlab FIL feature with genesys2, and I was setting io ports as specified in the board constraints repository, then there was this error.
ERROR: [DRC BIVC-1] Bank IO standard Vcc: Conflicting Vcc voltages in bank 33. For example, the following two ports in this bank have conflicting VCCOs:
ETH_MDIO (LVCMOS15, requiring VCCO=1.500) and sysclk_p (LVDS, requiring VCCO=1.800)
There was a similar issue on the forum link. There was not a clear solution on how to resolve this issue however。
Question
Claford V Lawrence
I was trying to use matlab FIL feature with genesys2, and I was setting io ports as specified in the board constraints repository, then there was this error.
ERROR: [DRC BIVC-1] Bank IO standard Vcc: Conflicting Vcc voltages in bank 33. For example, the following two ports in this bank have conflicting VCCOs:
ETH_MDIO (LVCMOS15, requiring VCCO=1.500) and sysclk_p (LVDS, requiring VCCO=1.800)
There was a similar issue on the forum link. There was not a clear solution on how to resolve this issue however。
Any help would be greatly appreciated!
Here is the constraint generated:
create_clock -period 5.000 -name sysclk -waveform {0.000 2.500} [get_ports sysclk_p]
set_property PACKAGE_PIN AD11 [get_ports sysclk_p]
set_property IOSTANDARD LVDS [get_ports sysclk_p]
set_property PACKAGE_PIN R19 [get_ports sysrst]
set_property IOSTANDARD LVCMOS33 [get_ports sysrst]
set_property PACKAGE_PIN AF12 [get_ports ETH_MDC]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_MDC]
set_property PACKAGE_PIN AG12 [get_ports ETH_MDIO]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_MDIO]
set_property PACKAGE_PIN AH24 [get_ports ETH_RESET_n]
set_property IOSTANDARD LVCMOS33 [get_ports ETH_RESET_n]
set_property PACKAGE_PIN AG10 [get_ports ETH_RXCLK]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_RXCLK]
set_property PACKAGE_PIN AJ14 [get_ports ETH_RXD[0]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_RXD[0]]
Many thanks in advance!
set_property PACKAGE_PIN AH14 [get_ports ETH_RXD[1]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_RXD[1]]
set_property PACKAGE_PIN AK13 [get_ports ETH_RXD[2]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_RXD[2]]
set_property PACKAGE_PIN AJ13 [get_ports ETH_RXD[3]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_RXD[3]]
set_property PACKAGE_PIN AH11 [get_ports ETH_RX_CTL]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_RX_CTL]
set_property PACKAGE_PIN AE10 [get_ports ETH_TXCLK]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_TXCLK]
set_property PACKAGE_PIN AJ12 [get_ports ETH_TXD[0]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_TXD[0]]
set_property PACKAGE_PIN AK11 [get_ports ETH_TXD[1]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_TXD[1]]
set_property PACKAGE_PIN AJ11 [get_ports ETH_TXD[2]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_TXD[2]]
set_property PACKAGE_PIN AK10 [get_ports ETH_TXD[3]]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_TXD[3]]
set_property PACKAGE_PIN AK14 [get_ports ETH_TX_CTL]
set_property IOSTANDARD LVCMOS15 [get_ports ETH_TX_CTL]
Here is the constraint generated from KC707 ( which is officially supported by matlab ), and it didn't give an error
create_clock -period 5.000 -name sysclk -waveform {0.000 2.500} [get_ports sysclk_p]
set_property PACKAGE_PIN AD12 [get_ports sysclk_p]
set_property IOSTANDARD LVDS [get_ports sysclk_p]
set_property PACKAGE_PIN AB7 [get_ports sysrst]
set_property IOSTANDARD LVCMOS18 [get_ports sysrst]
set_property PACKAGE_PIN W19 [get_ports ETH_COL]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_COL]
set_property PACKAGE_PIN R30 [get_ports ETH_CRS]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_CRS]
set_property PACKAGE_PIN K30 [get_ports ETH_GTXCLK]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_GTXCLK]
set_property PACKAGE_PIN R23 [get_ports ETH_MDC]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_MDC]
set_property PACKAGE_PIN J21 [get_ports ETH_MDIO]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_MDIO]
set_property PACKAGE_PIN L20 [get_ports ETH_RESET_n]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RESET_n]
set_property PACKAGE_PIN U27 [get_ports ETH_RXCLK]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXCLK]
set_property PACKAGE_PIN U30 [get_ports ETH_RXD[0]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[0]]
set_property PACKAGE_PIN U25 [get_ports ETH_RXD[1]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[1]]
set_property PACKAGE_PIN T25 [get_ports ETH_RXD[2]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[2]]
set_property PACKAGE_PIN U28 [get_ports ETH_RXD[3]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[3]]
set_property PACKAGE_PIN R19 [get_ports ETH_RXD[4]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[4]]
set_property PACKAGE_PIN T27 [get_ports ETH_RXD[5]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[5]]
set_property PACKAGE_PIN T26 [get_ports ETH_RXD[6]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[6]]
set_property PACKAGE_PIN T28 [get_ports ETH_RXD[7]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXD[7]]
set_property PACKAGE_PIN R28 [get_ports ETH_RXDV]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXDV]
set_property PACKAGE_PIN V26 [get_ports ETH_RXER]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_RXER]
set_property PACKAGE_PIN N27 [get_ports ETH_TXD[0]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[0]]
set_property PACKAGE_PIN N25 [get_ports ETH_TXD[1]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[1]]
set_property PACKAGE_PIN M29 [get_ports ETH_TXD[2]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[2]]
set_property PACKAGE_PIN L28 [get_ports ETH_TXD[3]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[3]]
set_property PACKAGE_PIN J26 [get_ports ETH_TXD[4]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[4]]
set_property PACKAGE_PIN K26 [get_ports ETH_TXD[5]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[5]]
set_property PACKAGE_PIN L30 [get_ports ETH_TXD[6]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[6]]
set_property PACKAGE_PIN J28 [get_ports ETH_TXD[7]]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXD[7]]
set_property PACKAGE_PIN M27 [get_ports ETH_TXEN]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXEN]
set_property PACKAGE_PIN N29 [get_ports ETH_TXER]
set_property IOSTANDARD LVCMOS25 [get_ports ETH_TXER]
Link to comment
Share on other sites
3 answers to this question
Recommended Posts
Archived
This topic is now archived and is closed to further replies.