Jump to content
  • 0

Arty Z7-20 HDMI In hw problem in creating bitstream with Vivado 2020.2


Gdurand

Question

Good morning,

I have downloaded Arty-Z7-20-HDMI-In-hw.xpr.zip from release Arty Z7-20 HDMI Input Demo Xilinx Tools 2020.1

I tried to generate the Bitstream but I have 3 identical errors (one for hdmi_in_dvi2rgb_0_0_synth_1, the second for hdmi_in_v_tc_0_0_synth_1 and the third for hdmi_in_v_tc_1_0_synth_1). The error is :

[Common 17-55] 'set_property' expects at least one object.

Resolution: if [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.

Could you help me to solve quickly this problem.

Thank's for your help.

Link to comment
Share on other sites

1 answer to this question

Recommended Posts

On 1/8/2021 at 6:14 PM, Gdurand said:

Good morning,

I have downloaded Arty-Z7-20-HDMI-In-hw.xpr.zip from release Arty Z7-20 HDMI Input Demo Xilinx Tools 2020.1

I tried to generate the Bitstream but I have 3 identical errors (one for hdmi_in_dvi2rgb_0_0_synth_1, the second for hdmi_in_v_tc_0_0_synth_1 and the third for hdmi_in_v_tc_1_0_synth_1). The error is :

[Common 17-55] 'set_property' expects at least one object.

Resolution: if [get_<value>] was used to populate the object, check to make sure this command returns at least one valid object.

Could you help me to solve quickly this problem.

Thank's for your help.

After analysis, the problem comes from the Digilent's libraries with Vivado 2020.2 They do not support the update of some new IPs of Vivado 2020.2 (Concat, AXI GPIO, AXI Video DMA, AXI4 Stream Video Out, Video Timing Controller.)

The BitStream generated with Vivado 2020.1 is OK after however the correction of two files ila_refclk.xci and ila_pixclk.xci (change from zybo to arty on lines 3201)

It would be nice if you can make a working example of Arty Z7-20 HDMI Input Demo for Xilinx Tools 2020.2 and take the opportunity to correct the two files ila_refclk.xci and ila_pixclk.xci

For now, I have solved the problem by downgrading the version of my Vivado to 2020.1 Thank's for your help.

Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...