Jump to content
  • 0

Arty S7-50 MIG DDR3


tcmichals

Question

Is this the proper method of connecting the MIG DDR block for ARTY S7? (Yes, it does pass timing and passes a simple DDR3 test)  The MIG interface was generated with CLKIN set as 100Mhz and System clock and Reference Clock set to No Buffer.  clk_out1 is 100Mhz, clk_out2 is 200Mhz.

  • should sys_clock be used as a sys_ref_i clock?
    • If not what is the proper method be?
  • If this is correct why is there two clocks on the board? ddr_clock ans sys_clock
  • According to the MIG setup in the github for the ART-S7, clk_ref_i is connected to ddr_clk. how would this work if using a MicroBlaze?

 

 

MIG-S7-50.thumb.png.40c50dffb321ec8fa9f671cfb5ccc015.png

Link to comment
Share on other sites

0 answers to this question

Recommended Posts

There have been no answers to this question yet

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...