Jump to content
  • 0

Basys2 Ucf File Produces Many Errors


hib

Question

I am attempting to generate a bit file for the sample project on the Learn.Digilent site: "Design Simple Logic Circuit Project 3: Guess the Logic".  when I use the Digilent Basys2 UCF file from the Diglient website I get a huge number or errors (see attached text file). The errors all are related to missing design objects in the UCF file. How can I solve this problem? 

 

 

error_UCF.txt

basys2board.ucf.rtf

Link to comment
Share on other sites

9 answers to this question

Recommended Posts

thanks but those are the values I used. I spent many hours entering the sample code from your book and others, with little success.

 

 

I am going to give FPGAs a long rest.

 

Don't give up just yet - just try replacing

NET "ld[1]" LOC = "p14" ;

with

NET "ld<1>" LOC = "M11";

And see if one of your errors goes away! The reason is that the Basys2  doesn't have a pin named P14.... that signal is connected to the pin named M11.

Link to comment
Share on other sites

ERROR:MapLib:30 - LOC constraint P14 on ld<1> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P36 on sw<1> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P29 on sw<2> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P24 on sw<3> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P15 on ld<0> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P38 on sw<0> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

 

 

The most likely cause for this is that you have the wrong device and/or package selected for your FPGA board.

 

Right-click on the top level of your project and select properties. Make sure that the Device Is XC3S100E or XC3S250E and that the package is set to CP132.

 

It should look something like M1p2.png

 

If this doesn't fix it, can you post a screenshot of your design's properties?

Link to comment
Share on other sites

Comment out or delete all the unused lines - you should only have those that your design uses.

There is a synthesis option that makes these errors into warnings , but if you use it you will regret it! You will spend a night trying to track why a design does work only to find a signal called 'LEDs' in you HDL is called 'LED' in your UCF file, and a warning has been trying to tell you that all night.

Link to comment
Share on other sites

I get the same errors with a highly reduced ucf file.

First, here is the Verilog code

module top (

input [7:0] sw,

output [2:0] ld

);

assign ld[1] = (~sw[3] & ~sw[2] & ~sw[1]) | (~sw[3] & sw[2] & sw[1]) | (sw[3] & ~sw[2] & sw[1]);

endmodule

--------------

here is the ucf file

NET "ld[1]" LOC = "p14" ;

NET "ld[0]" LOC = "p15" ;

# Pin assignment for slide switches

NET "sw[3]" LOC = "p24";

NET "sw[2]" LOC = "p29";

NET "sw[1]" LOC = "p36";

NET "sw[0]" LOC = "p38";

---------------------------

also tried this ucf file:

NET "ld<1>" LOC = "p14" ;

NET "ld<0>" LOC = "p15" ;

# Pin assignment for slide switches

NET "sw<3>" LOC = "p24";

NET "sw<2>" LOC = "p29";

NET "sw<1>" LOC = "p36";

NET "sw<0>" LOC = "p38";

------------ here are the error messages

..

ERROR:MapLib:30 - LOC constraint P14 on ld<1> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P36 on sw<1> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P29 on sw<2> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P24 on sw<3> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P15 on ld<0> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

ERROR:MapLib:30 - LOC constraint P38 on sw<0> is invalid: No such site on the

device. To bypass this error set the environment variable 'XIL_MAP_LOCWARN'.

Error found in mapping process, exiting...

Errors found during the mapping phase. Please see map report file for more

details. Output files will not be written.

Design Summary

--------------

Number of errors : 6

Number of warnings : 0

Process "Map" failed

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/sw_led_digilent.stx is missing.

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/top_map.ncd is missing.

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/sw_led_digilent.stx is missing.

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/top_map.ncd is missing.

Preparing to edit basys2board.ucf...

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/sw_led_digilent.stx is missing.

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/top_map.ncd is missing.

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/sw_led_digilent.stx is missing.

WARNING:ProjectMgmt - File E:/Basys2/sw_led_digilent1/top_map.ncd is missing.

Link to comment
Share on other sites

I did a quick Google, and it looks like you are using the constraints file for the original Basys board. 

 

So I've grabbed the master constraint file for the Basys2 from http://www.digilentinc.com/Products/Detail.cfm?Prod=BASYS2

 

You should be using the following lines

NET "ld<1>" LOC = "M11" ; # Bank = 2, Signal name = LD1
NET "ld<0>" LOC = "M5" ;  # Bank = 2, Signal name = LD0
 

NET "sw<3>" LOC = "B4";  # Bank = 3, Signal name = SW3
NET "sw<2>" LOC = "K3";  # Bank = 3, Signal name = SW2
NET "sw<1>" LOC = "L3";  # Bank = 3, Signal name = SW1
NET "sw<0>" LOC = "P11";  # Bank = 2, Signal name = SW0
Link to comment
Share on other sites

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...