Jump to content

gagey

Members
  • Posts

    2
  • Joined

  • Last visited

gagey's Achievements

Newbie

Newbie (1/4)

0

Reputation

  1. This board's configuration flash memory was never overwritten. I had several other Arty boards from the same order which still worked as expected when connected to the same cable/power setups, so I know it is a problem with this unit specifically. Powering via barrel jack only with JP1 loaded had no effect. When powered LD10 also stayed on with no usb cable connected.
  2. The board is unresponsive when powered through barrel jack or usb. It does not show up in vivado hardware programmer or the system device list when plugged in. The default program does not load when I hit the prog button. The only leds that turn on when powered are LD8, LD10, and LD11.
×
×
  • Create New...