Jump to content

Boyd

Members
  • Posts

    6
  • Joined

  • Last visited

Boyd's Achievements

Newbie

Newbie (1/4)

1

Reputation

  1. Ok I found the solution I needed to pick Zybo en not z7-10 in the beginning of making the vivado project. Thank you for your help!!!
  2. I tried the example, but it still doesn't work. It just doesn't send anything the Rx led doesn't blink and neither do the leds on the board turn on if I push the buttons. I build it and I run it. I don't know where to look also, I tried everything... The board is also a couple of years old, but if I code in VHDL everything works fine. design_1_wrapper.vhd main.c Zybo_Master.xdc
  3. Ok I changed the IP to this again and I know from tutorials that this should work, but it does not for me!!! Even changing in Vitis to use the ps7_init.h did not work for me. I just want the uart to work and print Hello world to the screen. Can somebody help me please? design_1_wrapper.vhd
  4. I'm trying to read and write to the uart on the USB port. I deleted the IP, but it still doesn't work. I don't know what I'm doing wrong really... You can find my code that is generated from the IP + a picture of my new IP. design_1_wrapper.vhd
  5. Hello i'm new to FPGA programming. My Hello world doesnt work. I'm using Zybo Zynq-7000 board. I don't know why the UART doesn't work. I followed a few tutorials already, but I can't get it to work. Also I need to know what the xdc file needs to look like, because this is not very clear to me for UART1. Can somebody help me with this? Zybo_Master.xdc design_1_wrapper.vhd
  6. Hi I'm trying to use the sysclk just to generate a clock on the Zybo Zynq-7000 board. If I run the simulation the sysclk doesn't turn green and stays "High" (=color: orange) so there is no clock. I uncommented the set_property and create_clock in the Zybo-Z7-Master.xdc file. What am I doing wrong? Kind regards, Boyd. Led_Blink.rar
×
×
  • Create New...