Jump to content

fenixzhang

Members
  • Posts

    6
  • Joined

  • Last visited

fenixzhang's Achievements

Newbie

Newbie (1/4)

0

Reputation

  1. Got it, thanks for the feedback!
  2. Hi @artvvb Could you point me to the link that instruct how to apply the digilent-provided preset? Hi all, thank you for the feedback!
  3. Hi @artvvb Thanks for the response! However, I still have some question. From the Zybo reference manual, the DDR3 memory is Micron MT41K256M16HA-125 DDRL But I don't the exact DDR3L memory in memory part in Vivado. Which memory part should I choose?
  4. Hi @artvvb Thanks for the feedback! I download the zybo source file later and it works. Here is the clock setting in xdc file #set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { sysclk }]; #IO_L12P_T1_MRCC_35 Sch=sysclk #create_clock -add -name sys_clk_pin -period 8.00 -waveform {0 4} [get_ports { sysclk }];
  5. Hi, I just purchase a Zybo Z7 20 board, the schematic from Digilent web show the DDR is Micron. But laser mark on the DDR on my board is Zentel A3T4GF40BBF. I don't see this memory part number in Vivado. Could some one teach me how to setup the DDR in Vivado? Thank you!
  6. Hi, I have a Zybo Z7 20. I want to use the external 125Mhz clock from ethernet phy for PL so I can do some simple PL FGPA design without PS coding. How should I do that? I assign K17 to the clk pin as input. Assign E17 to clk_en as output and set it to 1. But I don't get clk signal
×
×
  • Create New...