Jump to content
  • 0

Basys 3: Error when implementing project


andrew241

Question

I have a problem when trying to implement a VHDL project (ALU and register file) onto a Basys 3 board. This is the error message I get from Vivado:

 

[Place 30-58] IO placement is infeasible. Number of unplaced terminals (32) is greater than number of available sites (12).

 

The register file is 16-bits, and has one write (in) and two read ports (out). The ALU operates on the values from these two read ports, and the result is output to the 16 LEDs. Is this project something that can't be implemented on this board due to the lack of available resources, or is this a problem with the Vivado software?

 

Thanks!

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

Archived

This topic is now archived and is closed to further replies.

×
×
  • Create New...