Jump to content
  • 0

Program Zedboard development and evaluation kit


Muhammad Ahmad

Question

Hi Everyone,

I am trying to generate a clock frequency of 20MHz from Zedboard PmodA pins. and then i give this to PCB and get 3 output which i want to give to PMODB pins. after that i want to check this results in vivado

can anybody help me how to do this in vivado 2018.2 or guide me with the help of some videos. 

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 0
Posted (edited)

Post duplicated:

How to generate a clock out of an FPGA is explained as an answer inside the above post.

 

Again: MMCM/PLL inside the FPGA --> 20MHz clk --> ODDR --> 20MHz out from FPGA pin to PCB via PMOD pins

A step by step instruction is out of scope for me, the principle to generate a clock out of an FPGA is what is depicted above.

Edited by dpaul
Link to comment
Share on other sites

  • 0

Dear, 

I already generated a clock frequency of 20MHz using clocking wizard. Now my question is different i am giving 3 inputs to Zedboard PmodB pins which i take from PCB and i have to show these pins result to screen. 

Link to comment
Share on other sites

  • 0
23 hours ago, Muhammad Ahmad said:

Now my question is different i am giving 3 inputs to Zedboard PmodB pins which i take from PCB and i have to show these pins result to screen. 

You asked that in the other post as well.

 

Ok, now you need to describe the nature of these 3 inputs, else not possible to help.

Is it just 3 digital inputs or is some protocol implemented?

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...