Jump to content
  • 0

Error During Run implementation of vivado Project


Muhammad Ahmad

Question

Hi everyone,

i am trying to make a project in which i am using zedboard and vivado 2018.2. i am trying to make a project in which we can generate a clock frequency of 20MHz from pmodA and then this is given to PCB board and the PCB returns 3 outputs which is given to PmodB and i want to show their result. Can anybody help m regarding this. i am facing an error.

[DRC REQP-1712] Input clock driver: Unsupported PLLE2_ADV connectivity. The signal design_1_inst/design_1_i/clk_wiz_0/inst/clk_in1 on the design_1_inst/design_1_i/clk_wiz_0/inst/plle2_adv_inst/CLKIN1 pin of design_1_inst/design_1_i/clk_wiz_0/inst/plle2_adv_inst with COMPENSATION mode ZHOLD must be driven by a clock capable IO.
i am attaching block design diagram

Link to comment
Share on other sites

3 answers to this question

Recommended Posts

  • 0
1 hour ago, dpaul said:

@dpaul 

I didn't understand about your solution. which clock i have to send and where? 

basically when i am using clocking wizard then we setup it input to 100MHz and output to 20Mhz.

Link to comment
Share on other sites

  • 0
20 hours ago, Muhammad Ahmad said:

i am trying to make a project in which we can generate a clock frequency of 20MHz from pmodA and then this is given to PCB board and the

THat means, you want to generate a clock which goes out of the FPGA through a Pmod pin.
 

16 hours ago, Muhammad Ahmad said:

basically when i am using clocking wizard then we setup it input to 100MHz and output to 20Mhz.

Till the above line your comment is correct. But you simply do not connect the 20MHz output of an MMCM/PLL to the Pmod pin.

 

How to do it in the right way, I have mentioned in my previous post. Please read the first link carefully. It tells you how to use an ODDR to output clock from an FPGA.

Link to comment
Share on other sites

Create an account or sign in to comment

You need to be a member in order to leave a comment

Create an account

Sign up for a new account in our community. It's easy!

Register a new account

Sign in

Already have an account? Sign in here.

Sign In Now
×
×
  • Create New...